.v

This module ...

Ports | Parameters | Notes | Example | Timing diagram

Ports

clkinputThe clock input.
resetinput    The reset input.
eninputAn enable input. The state of the module is frozen when at logic zero.
goinputCommand to initiate a cycle.

Parameters

wdWidth of the host-interface data path (default 16).

Notes

Example instantiation in Verilog:




Diagram


8/21/2014